Contribute to the Wiki

First you need to register. You can do that from the menu..

Once you log in, you will see a bar at the top of the page. Go to Dashboard to find ALL Wiki pages:

 

 

 

Always add this line to the end of articles:

Return to the Overview

To add code to the website text, switch to the ‘Text’ tab:

You will be making something like this this:

1
2
My Verilog code goes here...
It can be on multiple lines. This wiki does not handle whitespaces/indentation well. Thankfully that does not matter for Verilog syntax, but it's not ideal for human readability.

On the Wiki page, you have to add a shortcode at the top and bottom of your code. At the top you put:

1
[cc lang="verilog"]

and end your code with:
[/cc]

Your Verilog is nested between those two tags, called shortcodes in WordPress. See how it is done on some of the example pages.

Other languages, like python, are supported, per this page: https://wordpress.org/plugins/codecolorer/

Return to the Overview